二值化verilog代码

zhoupuyu9839 36 0 RAR 2019-02-28 01:02:39

该代码可实现图像的二值化处理,使用verilog语言编写,适合初学者学习。

用户评论
请输入评论内容
评分:
Generic placeholder image 卡了网匿名网友 2019-02-28 01:02:39

oDATA iTHRESHOLD) ? 1023 : 0;没看懂

Generic placeholder image 卡了网匿名网友 2019-02-28 01:02:39

代码可以用,很好 谢谢

Generic placeholder image 卡了网匿名网友 2019-02-28 01:02:39

能编译,不知道能不能运行

Generic placeholder image 卡了网匿名网友 2019-02-28 01:02:39

还不错,可以用的

Generic placeholder image 卡了网匿名网友 2019-02-28 01:02:39

很好的,正好需要,谢谢

Generic placeholder image 卡了网匿名网友 2019-02-28 01:02:39

二值化还好。。不过缺少阈值的算法

Generic placeholder image 卡了网匿名网友 2019-02-28 01:02:39

不错 对我很有帮助

Generic placeholder image 卡了网匿名网友 2019-02-28 01:02:39

代码还可以,

Generic placeholder image 卡了网匿名网友 2019-02-28 01:02:39

很不错,可以用的!