电子琴制作设计FPGA设计

qq_22399 64 0 PDF 2019-03-15 04:03:36

设计了一种基于FPGA的电子琴,该电子琴由用VHDL硬件描述语言设计的核心部件和适当的外围电路构成,可从琴键上进行演奏也可自动进行乐曲演奏,可模拟传统乐器如笛、风琴、小号、单簧管、双簧管等音色。实验验证了该设计的正确性。

用户评论
请输入评论内容
评分:
暂无评论