JK触发器--VHDL

hjsbbt 68 0 RAR 2018-12-07 10:12:21

使用VHDL编程实现了JK触发器的功能,可以将其下载到FPGA中进行实验

用户评论
请输入评论内容
评分:
暂无评论