FPGA小游戏之贪吃蛇

baidu_73876522 31 0 RAR 2019-05-01 04:05:56

用verilog语言来实现贪吃蛇的小游戏设计,用开发板上的四个按键KEY1~KEY4来控制小蛇的方向,VGA显示器显示游戏的画面

用户评论
请输入评论内容
评分:
暂无评论