基于VHDL的流水灯设计

boobaa 21 0 RAR 2019-05-04 14:05:58

用VHDL语言的流水灯,共有8个状态,用数码管显示

用户评论
请输入评论内容
评分:
Generic placeholder image 卡了网匿名网友 2019-05-04 14:05:58

LED啊 初学者练手的东西