用verilog语言实现自动售货机

爵罗先生 25 0 RAR 2019-05-06 02:05:37

用verilog语言实现自动售货机。本代码的输入信号有clk,money,sel,order,back,left1,left2,left3,left4。clk代表时钟信号,money代表顾客投入的钱币,sel为顾客选择的货物,order为确认所选货物选项,back为返回初始状态选项,left1为第一种货物的剩余量,left2为第二种货物的剩余量,left3为第三种货物的剩余量,left4为第四种货物的剩余量。输出信号为money_left,out_num1,out_num2,out_num3,out_num4。money_left为找零数目,out_num1为第一种货物的出货量,out_num2为第二种货物的出货量,out_num3

用户评论
请输入评论内容
评分:
暂无评论