verilog乘法器实现

wobenpingfan_y 25 0 rar 2019-05-15 13:05:15

基于verilog的乘法器实现,先实现了加法器,在实现乘法器。环境为quatusII

用户评论
请输入评论内容
评分:
Generic placeholder image 卡了网匿名网友 2019-05-15 13:05:15

资料感觉一般,代码风格不好

Generic placeholder image 卡了网匿名网友 2019-05-15 13:05:15

内容完整。