用FPGA实现LCD1602显示程序

谷凯Jump 32 0 RAR 2018-12-20 21:12:19

用Verilog语言编写的LCD1602驱动和显示程序,能在硬件上实现。

用户评论
请输入评论内容
评分:
Generic placeholder image 卡了网匿名网友 2018-12-20 21:12:19

资料写的挺详细的,谢谢分享,哈哈。。

Generic placeholder image 卡了网匿名网友 2018-12-20 21:12:19

目前正在学习中,写的挺好懂的