随机信号发生器Verilog

fairy64693 24 0 ZIP 2019-05-31 23:05:21

使用LFSR和CASR构成随机数或随机信号发生器;Verilog实现

用户评论
请输入评论内容
评分:
暂无评论