verilog_hdl_矩阵键盘

qq_83959 22 0 DOC 2019-06-01 13:06:01

完成的任务是通过编程实现对4X4矩阵键盘按下键的键值的读取,并在数码管上完成一定功能(如移动等)的显示。按键盘的定义,按下“*”键则在数码管是显示“E”键值。按下“#”键在数码管上显示“F”键值。其它的键则按键盘上的标识进行显示。

用户评论
请输入评论内容
评分:
暂无评论