IEEE Standard 1364-2001 Verilog Hardware Description Language

sra40386 41 0 rar 2019-06-27 23:06:31

IEEEStandard1364-2001VerilogHardwareDescriptionLanguage.TheLRM(LanguageReferenceManual).ThecompletedocumentfortheVeriloglanguageusedinhardwaredesign.

用户评论
请输入评论内容
评分:
Generic placeholder image 卡了网匿名网友 2019-06-27 23:06:31

verilog的标准文档,可惜是鸟语的!

Generic placeholder image 卡了网匿名网友 2019-06-27 23:06:31

相当不错的资源,感谢

Generic placeholder image 卡了网匿名网友 2019-06-27 23:06:31

很好,里边还是有目录的,方便

Generic placeholder image 卡了网匿名网友 2019-06-27 23:06:31

verilog的标准文档,IC设计者必须要有的一篇标准 呵呵

Generic placeholder image 卡了网匿名网友 2019-06-27 23:06:31

资料很好,全英文,我看不懂,谢谢