基于FPGA和DDS的数字调制信号发生器设计与实现

ai_hebe 33 0 CAJ 2019-07-07 20:07:36

为了提高数字调制信号发生器的频率准确度和稳定度,并使其相关技术参数灵活可调,提出了基于FPGA和DDS技术的数字调制信号发生器设计方法。利用Matlab/Simulink、DSPBuilder、QuartusⅡ3个工具软件,进行基本DDS建模,然后在DDS模块的基础上,通过单片机等电路组成的控制单元的逻辑控制作用,根据通信系统中数字调制方式的基本原理,设计并实现了数字调制信号发生器,从而实现二进制频移键控(2FSK)、二进制相移键控(2PSK)和二进制幅移键控(2ASK)3种基本的二进制数字调制。所得仿真结果表明设计方法的正确性和实用性。

用户评论
请输入评论内容
评分:
Generic placeholder image 卡了网匿名网友 2019-07-07 20:07:36

有点小错误

Generic placeholder image 卡了网匿名网友 2019-07-07 20:07:36

坑爹啊,跟百度文库里边的一样

Generic placeholder image 卡了网匿名网友 2019-07-07 20:07:36

还不错吧,初学者看看

Generic placeholder image 卡了网匿名网友 2019-07-07 20:07:36

没什么用处,和别人的类似