VHDL LCD1602显示程序

airwill 48 0 RAR 2018-12-25 20:12:28

这是一个基于VHDL的FPGA的程序。它的功能是实现LCD1602显示,通过GPGA来控制LCD1602的显示方式和显示的字符。

用户评论
请输入评论内容
评分:
Generic placeholder image 卡了网匿名网友 2018-12-25 20:12:28

代码很好,希望作者能添加些注释啊,读了半天,都迷糊了……不过代码很好,最终搞定了。

Generic placeholder image 卡了网匿名网友 2018-12-25 20:12:28

正好要找这部分内容,谢谢楼主

Generic placeholder image 卡了网匿名网友 2018-12-25 20:12:28

没有注释,很多地方看的不是很顺畅,再有就是代码下到开发板里没什么反应,到现在都不知道问题在哪!

Generic placeholder image 卡了网匿名网友 2018-12-25 20:12:28

没有注释,看得比较辛苦~

Generic placeholder image 卡了网匿名网友 2018-12-25 20:12:28

正好要用到,感谢分享

Generic placeholder image 卡了网匿名网友 2018-12-25 20:12:28

非常好,在百度文库里有类似的代码。可惜没有注释,可以去百度文库里找对应的有注释的看