基于VHDL的编码器和译码器的设计

家有62787亩地 31 0 word文档 2019-09-03 21:09:56

编码器与译码器是计算机电路中基本的器件,本课程设计采用EDA技术设计编码和译码器。编码器由八-三优先编码器作为实例代表,而译码器则包含三-八译码器和二-四译码器两个实例模块组成。课程设计采用硬件描述语言VHDL把电路按模块化方式进行设计,然后进行编程、时序仿真和分析等。课程设计结构简单,使用方便,具有一定的应用价值。

用户评论
请输入评论内容
评分:
暂无评论