verilog读取文件的文件预处理程序

heyzboy 15 0 VI 2019-09-05 06:09:06

$fscanf(fp_r,"%h",data_in[cnt]);函数可以读取的文件有特定的格式要求;要求每两个要读取的数据间以空格、回车、注释隔开(刚开始不知道弄了好久都不知道错误在哪,分享给大家)。verilog在读取的时候没遇到以上符号就认为这是分隔符,所以当你源文件本身就有这些符号时就会跳过,本程序帮你进行文件预处理

用户评论
请输入评论内容
评分:
暂无评论