8b—10b 编解码器设计

wangliming696189 39 0 RAR 2019-09-23 10:09:24

本程序的功能是实现串口通信,采用232传输协议,编码方式为8B/10B转换,即一位起始位,8位数据位,一位停止位,在actelFusion系列开发板上得到验证,具有很强的通用性。本程序的编程语言为Verilog.[Giga8b10Bv10.rar]-可编程器件厂商Altera出品的8b10B编码器,用在现在通用的PCI-Express接口中,包含完全解密的源程序。

用户评论
请输入评论内容
评分:
Generic placeholder image 卡了网匿名网友 2019-09-23 10:09:24

谢谢分享,还没用

Generic placeholder image 卡了网匿名网友 2019-09-23 10:09:24

还可以,新手来练习下

Generic placeholder image 卡了网匿名网友 2019-09-23 10:09:24

谢谢分享!!! 有帮助

Generic placeholder image 卡了网匿名网友 2019-09-23 10:09:24

还行,我的课程设计好了

Generic placeholder image 卡了网匿名网友 2019-09-23 10:09:24

可以用,非常好

Generic placeholder image 卡了网匿名网友 2019-09-23 10:09:24

还可以,对于练习有帮助。

Generic placeholder image 卡了网匿名网友 2019-09-23 10:09:24

还可以,altera网站也可以下载,免费

Generic placeholder image 卡了网匿名网友 2019-09-23 10:09:24

看过的8b10b编码写的都很粗糙,往往一心想提速,却忽略了细节

Generic placeholder image 卡了网匿名网友 2019-09-23 10:09:24

通过实际验证,可用,good。