Verilog课程设计自动售货机

抓破头 52 0 DOCX 2018-12-07 17:12:06

1)设计一个自动售货机,此机能出售1.5元、2元两种商品。出售哪种商品可有顾客按动相应的一个按键即可,并同时用数码管显示出此商品的价格。可同时购买两种、多件商品。 2)顾客投入硬币的钱数有5角、1元两种。此操作通过按动相应的两个按键来模拟,并同时用数码管将投币额显示出来。 3)顾客投币后,按一次确认键,如果投币额不足时则报警灯亮。如果投币额足够时自动送出货物(送出的货物用相应不同的指示灯显示来模拟),同时多余的钱应找回,找回的钱数用数码管显示出来,库存显示减少。 4)顾客一旦按下取消键,自动售货机即可自动恢复到初始状态,此时才允许顾客进行下一次购货操作。 5)售货机还应具有供商家供货功能,可通过两个按键增加两种商品的库存量,有数码管显示库存量。 6)此售货机要设有两个由商家控制的复位键,可分别将两种商品清零。

Verilog课程设计自动售货机

用户评论
请输入评论内容
评分:
Generic placeholder image 卡了网匿名网友 2018-12-07 17:12:06

非常适合新手学习,值得一看

Generic placeholder image 卡了网匿名网友 2018-12-07 17:12:06

有用,但代码写得不好,不值这个积分啊

Generic placeholder image 卡了网匿名网友 2018-12-07 17:12:06

很不错,如果有测试代码就好更好了