DS18B20温度测量fpga实现

hellzodiac 27 0 V 2019-09-25 20:09:14

通过Verilog实现了对温度传感器DS18B20的控制功能,并给出了详细的注释,易于代码的理解,只需针对自己的实际情况稍加修改便可直接使用。实际上板验证可用

用户评论
请输入评论内容
评分:
暂无评论