VHDL仿真 PPT

ZCSboy 43 0 PPT 2018-12-27 17:12:11

VHDL仿真的课件,主要包括:使用ModelSim进行VHDL仿真 Textio程序包 使用ModelSim对QuartusII设计项目进行仿真

用户评论
请输入评论内容
评分:
暂无评论