基于FPGA的DDS信号发生器

legned78294 26 0 PDF 2019-09-27 15:09:57

   本文介绍了一种基于FPGA的DDS基本信号发生器的设计方法,应用VHDL语言编程及QuartusII软件进行编译和波形仿真,用VHDL语言对DDS进行供能描述,方便在不同的实现方式下移植和修改参数,QuartusII软件提供了方便的编译和综合平台,大大缩短了DDS的设计和开发周期。DDS模型由相位累加器、波形存储器ROM查找表(LUT)、D/A转换器(DAC)以及低通滤波器(LPF)构成。本设计基于DDS原理和FPGA技术按照顺序存储方式,把正弦波、三角波、方波、锯齿波四种波形的取样数据依次全部存储在ROM波形表里,通过外接设备拨扭开关选择波形输出,控制波形的频率,最终将波形信息显示在LCD液晶显示屏上。与传统的信号发生器相比,DDS信号发生

用户评论
请输入评论内容
评分:
暂无评论