VHDL 8位乘法器设计

beifengnanfengyes 60 0 DOC 2018-12-28 17:12:19

完整的实验报告 由8位加法器构成的以时序逻辑方式设计的8位乘法器。其乘法原理是:乘法通过逐项位移相加原理来实现,以被乘数的最低位开始,若为1,则乘数左移后与上一次和相加,若为0,左移后以全零相加,直至被乘数的最高位。

VHDL 8位乘法器设计

用户评论
请输入评论内容
评分:
Generic placeholder image 卡了网匿名网友 2018-12-28 17:12:19

还可以 ,勉强可用

Generic placeholder image 卡了网匿名网友 2018-12-28 17:12:19

这个貌似程序缺少 了四位加法器的部分,不过还是很实用的

Generic placeholder image 卡了网匿名网友 2018-12-28 17:12:19

还可以,挺详细的

Generic placeholder image 卡了网匿名网友 2018-12-28 17:12:19

对初学者很实用~~

Generic placeholder image 卡了网匿名网友 2018-12-28 17:12:19

内容挺详细,能够参考

Generic placeholder image 卡了网匿名网友 2018-12-28 17:12:19

内容比较详细,适合初学者学习,感谢

Generic placeholder image 卡了网匿名网友 2018-12-28 17:12:19

很不错,很好用