四位二进制减法计数器正式.doc

qd36013 23 0 DOC 2020-05-25 20:05:11

1、了解数字系统设计方法 2、熟悉VHDL语言及其仿真环境、下载方法 3、熟悉Multisim环境 4、设计实现四位二进制减法计数器(缺000000010010) 工作计划与进度安排: 第一周熟悉Multisim环境及QuartusⅡ环境,练习数字系统设计方法, 包括采用触发器设计和超高速硬件描述语言设计,体会自上而 下、自下而上设计方法的优缺点。 第二周在QuartusⅡ环境中用VHDL语言实现四位二进制减法器(缺000000010010),在仿真器上显示结果波形,并下载到目标芯片上,在实验箱上观察输出结果。在Multisim环境

用户评论
请输入评论内容
评分:
暂无评论