利用VHDL语言编写的数字时钟

qq_23113806 25 0 VHD 2018-12-29 11:12:35

利用VHDL语言编写的数字时钟,具有60进制以及24进制计数器,并可自动清零

用户评论
请输入评论内容
评分:
暂无评论