verilog跑马灯

weixin_32412285 48 0 NONE 2018-12-29 14:12:37

用verilog编写程序控制开关使LED灯全灭全亮,逐个灭,逐个亮。

用户评论
请输入评论内容
评分:
暂无评论