Verilog HDL 行为建模

shidizaia 10 0 PDF 2020-12-13 05:12:55

在3.3.3节中,我们已经对行为描述方式有个概念,这里对行为建模进一步的描述,并通过一个系统设计频率计数器加以巩固。 7.1 简介行为建模方式是通过对设计的行为的描述来实现对设计建模,一般是指用过程赋值语句(initial 语句和always 语句)来设计的称为行为建模。

用户评论
请输入评论内容
评分:
暂无评论