基于FPGA的LVDS接口应用

qq_77101 9 0 PDF 2021-02-24 23:02:07

摘要 介绍了LVDS技术的原理,对LVDS接口在高速数据传输系统中的应用做了简要的分析,着重介绍了基于FPGA的LVDS_TX模块的应用,并通过其在DAC系统中的应用实验进一步说明了LVDS接口的优点。 介绍了基于FPGA的LVDS模块的应用,实现了将数据通过FPGA(Ahera StratixII EP2S90)的LVDS发送模块的传输,以640 Mbit·s-1数据率送至DAC电路。 1 LVDS技术简介 LVDS,即Low-Voltage Differential Signaling低压差分信号,是由美国国家半导体公司于1994年提出的一种信号传输模式,在满足高数据传输

基于FPGA的LVDS接口应用

用户评论
请输入评论内容
评分:
暂无评论