CoolRunner II器件的Pullup(上拉)输入/输出

tianying34058 10 0 PDF 2021-04-04 19:04:51

Pullup功能可以在输入/输出脚增加弱的上拉电阻,该功能需要通过属性来控制,其属性设置如下。 (1)约束文件(UCF) NET PULLUP; 例如: NET data_In PULLUP; NET Clock PULLUP; (2)VHDL语言 attribute PULLUP: string; attribute PULLUP of : signal is "TRUE"; 例如: attribute PULLUP: string; attribute PULLUP of data_in: signal i

用户评论
请输入评论内容
评分:
暂无评论