EDA课程设计密码锁

fenyifu65470 27 0 RAR 2019-01-11 05:01:37

本次设计采用的是VHDL编写的数字密码锁,采用五个键控制,四个数码管显示,有更改密码、清除密码、自动锁定及报警等功能。

用户评论
请输入评论内容
评分:
Generic placeholder image 卡了网匿名网友 2019-01-11 05:01:37

很详细,载入赛思灵后可以用