image
lingsheng49071

这家伙很懒,什么也没写

Ta上传的资源(0)个

vhdl的4位乘法器程序

vhdl语言, 4位乘法器程序 用Quartus Π的VHDL语言实现乘法器的基本流程,包括设计输入、综合、适配、仿真测试等方法

嵌入式 40 0 RAR 2019-01-23 08:01:37