4位加法器的VHDL(全套仿真实现)

qq_65778055 46 0 RAR 2018-12-07 10:12:35

采用VHDL三种描述方式进行了加法的设计,每个工程都带有仿真波形,用QuartusII 做的。

用户评论
请输入评论内容
评分:
Generic placeholder image 卡了网匿名网友 2018-12-07 10:12:35

实验做的很不错。