Debussy软件教程.pdf

HongdiZhao 36 0 PDF 2018-12-19 10:12:47

Debussy支持的波形格式是fsdb,是Fast Signal Database的简写。Debussy也可以读取vcd文件, 在它读入vcd文件时,先自动把vcd文件转换成fsdb 文件,然后再读入debussy。  Debussy提供的新的波形文件格式FSDB相比于VCD格式,压缩量大,加载速度快。  Debussy提供了PLI(for Verilog)和FLI(for VHDL)接口,我们可以在仿真时直接导出FSDB文件。Debussy也提供了用于转换VCD文件为FSDB文件的程序(vfast)。当用nWave导入VCD文件时,自动调用vfast转换成FSDB文件。  nWave可以单独启动用来查看波形。作为一个波形查看工具

Debussy软件教程.pdf

用户评论
请输入评论内容
评分:
Generic placeholder image 卡了网匿名网友 2018-12-19 10:12:47

很好啊,值得学习

Generic placeholder image 卡了网匿名网友 2018-12-19 10:12:47

有点失望。介绍的不是很全面。