利用VHDL语言编写的60进制计数器

qq_23113806 29 0 VHD 2019-07-24 18:07:41

该程序可进行60秒计数,用于数字时钟的编写。

用户评论
请输入评论内容
评分:
Generic placeholder image 卡了网匿名网友 2019-07-24 18:07:41

代码不错,写的很好