用VHDL语言写的EDA数字钟

weixin_26835 35 0 DOC 2019-09-20 15:09:49

1、设计一个能显示1/10秒、秒、分、时的12小时数字钟。2、熟练掌握各种计数器的使用。3、能用计数器构成十进制、六十进制、十二进制等所需进制的计数器。4、能用低位的进位输出构成高位的计数脉冲。

用户评论
请输入评论内容
评分:
Generic placeholder image 卡了网匿名网友 2019-09-20 15:09:49

很好,和我需要的一样

Generic placeholder image 卡了网匿名网友 2019-09-20 15:09:49

嗯嗯,资源还行,要是多加点功能就好了