UVM beginners guide.rar

kaiser_joe 32 0 RAR 2020-07-24 11:07:48

The UVM (Universal Verification Methodology) Class Library provides the building blocks needed to quickly develop well-constructed and reusable verification components and test environments in SystemVerilog

用户评论
请输入评论内容
评分:
暂无评论