FPGA按键去抖程序

qq_41790 13 0 PDF 2020-09-21 04:09:14

代码是特权同学的,我将其理解后加上了注释。去抖的原理和单片机是一样的,即通过延时来过滤掉按键抖动产生的毛刺信号。不同的是判断按键按下的条件不同,单片机通常是已知按键不按时IO口的电平(如高电平),当IO口电平发生改变时(如低电平),则开启定时器进行延时,延时20ms后再次读取IO口的电平,若仍为低电平,则说明有按键按下;若为高电平,则说明是按键抖动,没有按键按下。

用户评论
请输入评论内容
评分:
暂无评论