Verilog 设计:16 位符号数乘法器

birth_4388 8 0 zip 2024-04-29 13:04:02

此设计使用 Booth 编码和 Wallace 树型结构实现 16 位有符号数的快速乘法。输入为两个 16 位有符号数,输出为 32 位有符号乘积。

用户评论
请输入评论内容
评分:
暂无评论