4-16译码器VHDL语言设计

qq_75689 54 0 VHD 2019-05-02 20:05:56

4-16译码器VHDL语言设计,libraryieee;useieee.std_logic_1164.all;entitycjg4_16isport(DATA:instd_logic_vector(3downto0);EN:instd_logic;Y:outstd_logic_vector(15downto0));endentitycjg4_16;architecturearch1ofcjg4_16isbeginprocess(en,data)

用户评论
请输入评论内容
评分:
暂无评论