VHDL任意分频器

yubinhua4 39 0 VHD 2019-07-06 09:07:16

VHDL编写的任意分频器,通过修改参数即可实现任意的分频占空比为50%,读者可以调整代码修改所需的占空比。

用户评论
请输入评论内容
评分:
暂无评论