32位快速加法器(Verilog)

yz76293 37 0 RAR 2019-07-08 19:07:52

32位超前进位快速加法器经过Isim仿真测试正确的32位超前加法器编写语言Verilog-HDL基于zhaohongliang代码修改了其中部分有问题的模块

用户评论
请输入评论内容
评分:
Generic placeholder image 卡了网匿名网友 2019-07-08 19:07:52

代码具有一定的参考价值,可惜限定了只能32位运算,如果位宽可变就好了。

Generic placeholder image 卡了网匿名网友 2019-07-08 19:07:52

不错,挺好的

Generic placeholder image 卡了网匿名网友 2019-07-08 19:07:52

还好,代码可用

Generic placeholder image 卡了网匿名网友 2019-07-08 19:07:52

还不错,代码还是可以用的

Generic placeholder image 卡了网匿名网友 2019-07-08 19:07:52

不错的还是,可以用

Generic placeholder image 卡了网匿名网友 2019-07-08 19:07:52

对于初学者的我来说已经很不错了

Generic placeholder image 卡了网匿名网友 2019-07-08 19:07:52

还行吧,一般,速度不是太理想

Generic placeholder image 卡了网匿名网友 2019-07-08 19:07:52

速度不够理想

Generic placeholder image 卡了网匿名网友 2019-07-08 19:07:52

亲测代码可用