VHDL语言实现3-8译码器

xueyezhilv 43 0 RAR 2018-12-07 17:12:31

用VHDL语言实现3-8译码器,在不同情况下进行不同译码

用户评论
请输入评论内容
评分:
Generic placeholder image 卡了网匿名网友 2018-12-07 17:12:31

非常好 适合我们这些初学者 希望再加点注释就好了

Generic placeholder image 卡了网匿名网友 2018-12-07 17:12:31

思路清晰,很适合初学者学习,再详细一点可能会更好