VHDL计时器

devilhacker 31 0 QPF 2019-01-22 10:01:00

用VHDL实现的计时器。可以帮助初学者很好地了解VHDL编程的原理与窍门。仅供参考。

用户评论
请输入评论内容
评分:
暂无评论