eda课程设计交通灯设计

kekezhou77900 44 0 DOC 2019-01-23 03:01:31

vhdl初学者可以参考下 1.编写交通灯信号控制器的VHDL描述程序。 2.在maxplusⅡ或者QuartusⅡ上对编码器进行编辑、编译、综合、适配、仿真。给出功能和时序仿真波形。 3.将输入引脚连接到拨码开关,输出连接到发光二极管,下载后在实验板上验证其功能,记录实验结果。

用户评论
请输入评论内容
评分:
Generic placeholder image 卡了网匿名网友 2019-01-23 03:01:31

嗯,对自己很有帮助,值得学习