计数器vhdl

catxl94066 41 0 DOC 2019-02-16 13:02:29

6进制计数器的vhdl描述 entity frequencies is port(clk:in std_logic; q:out std_logic); end frequencies; architecture behav of frequencies is signal time:integer range 0 to 5; begin end behav;

用户评论
请输入评论内容
评分:
暂无评论