基于STM32的逻辑分析仪

xiao3hu 20 0 DOC 2019-02-17 12:02:17

本设计采用单片机控制8路逻辑信号电平采集;采用EDA技术设计的CPLD芯片处理逻辑信号,控制点阵扫描和分析结果在示波器上显示;单片机和CPLD间采用中断方式交换数据。该设计具有1、3级触发方式,触发字位置和浮动时标线显示等功能,以及友好操作界面和波形稳定显示等特点,并拓宽示波器使用功能。

用户评论
请输入评论内容
评分:
暂无评论