UART波特率自适应Verilog程序

樱花花 43 0 RAR 2018-12-09 01:12:08

用Verilog写的串口自适应的程序,可以适应在110,300,600,900,1200,2400,4800,9600,1440,19200,15600,115200等多种串口模式下实现通信,其中包括波特率发生模块,端口波特率侦测模块,老外写的,值得借鉴

用户评论
请输入评论内容
评分:
Generic placeholder image 卡了网匿名网友 2018-12-09 01:12:08

差评,乱标标题,忘记看评论了,不是Verolog不说还不是自适应波特率的,一点参考价值都没有

Generic placeholder image 卡了网匿名网友 2018-12-09 01:12:08

这个真心好用,可以做一些开发调试用。收糖;额

Generic placeholder image 卡了网匿名网友 2018-12-09 01:12:08

说起来,参考意义不大,楼主自己没弄清是什么东西吧。