基于VHDL的交通灯控制器设计
用vhdl语言描绘的交通灯控制器的设计 --交通灯控制芯片 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all;
用户评论
推荐下载
-
matlab开发模糊交通灯控制器
matlab开发-模糊交通灯控制器。简单控制4路红绿灯,您可以更改一些设置
12 2020-07-19 -
EDA交通灯控制器整个程序
实训做的EDA交通灯控制器整个程序,希望对你有帮助
14 2020-07-22 -
交通灯控制器源程序.zip
交通灯控制器源程序.zip
6 2020-07-25 -
交通灯控制器C语言代码
需要配合电路图使用C语言代码 51单片机
31 2019-01-06 -
现代电路设计交通灯控制器
现代电路设计,交通灯循环点亮,涉及硬件基础知识和软件编程能力。
18 2019-02-28 -
数字电路设计交通灯控制器
数字电路的实际设计与运用。课程设计之交通灯控制器。
24 2019-05-21 -
EDA课程设计交通灯控制器的实现
1、控制器按东西、南北两个方向控制两组交通灯(红、绿、黄)2、两组灯亮的顺序满足交通安全的规则要求.3、东西向绿灯每次亮30S,接着黄灯亮2S,红灯亮20S;南北向绿灯每次亮28S,接着黄灯亮2S,红
38 2019-09-28 -
简单交通灯控制VHDL程序
这是我用VHDL语言写的一个简单交通灯程序,直来直往的,还有很多需要完善的地方。
19 2020-04-20 -
VHDL语言交通灯控制系统
显示十字路口东西南北两个方向的红黄绿灯的指示状态,并能用数码管倒计时显示剩余时间。
18 2020-06-12 -
交通灯控制程序VHDL
本系统旨在利用VHDL语言实现交通灯的日常功能
36 2019-07-29
暂无评论