按键控制LED的亮灭Verilog/VHDL程序

Ditvelo 41 0 RAR 2019-04-26 17:04:09

用两个按键的不同组合来控制LED指示灯的状态:1.没有手指触碰按键,LED灭;2.手指按下左边按键,LED亮;3.手指按下右边按键,LED亮;4.手指同时按下按键,LED灭;文件中包括Verilog和VHDL的两种语言的QuartusII程序,请您参考。

用户评论
请输入评论内容
评分:
暂无评论