FPGA实现sobel图像边缘检测,VGA显示

cuiplay 44 0 RAR 2019-04-27 02:04:31

Verilog实现sobel图像边缘检测,并利用VGA显示,各模块均生成了bdf模块搭建在顶层文件中,直观方便,值得学习

用户评论
请输入评论内容
评分:
暂无评论