verilog写的m序列

zhangjinjing90831 51 0 其他文档 2019-04-29 10:04:55

基于verilog语言描写的m序列产生器,采用模二加的原理,当然也可用查找表

用户评论
请输入评论内容
评分:
Generic placeholder image 卡了网匿名网友 2019-04-29 10:04:55

对我有帮助,有基本的m序列产生

Generic placeholder image 卡了网匿名网友 2019-04-29 10:04:55

凑合吧,可以参考下

Generic placeholder image 卡了网匿名网友 2019-04-29 10:04:55

代码略简单,不过还好,在此基础学习后,写好了自己想要的代码,还是感谢楼主。

Generic placeholder image 卡了网匿名网友 2019-04-29 10:04:55

看懂了就觉得简单了

Generic placeholder image 卡了网匿名网友 2019-04-29 10:04:55

该程序写的还可以,就是太简单了,不是我想要的。只是能很好的理解原理.

Generic placeholder image 卡了网匿名网友 2019-04-29 10:04:55

非常有用,简洁明了

Generic placeholder image 卡了网匿名网友 2019-04-29 10:04:55

太简单,没有多大实用价值。

Generic placeholder image 卡了网匿名网友 2019-04-29 10:04:55

代码稍微简单了些,不过基本概念还是正确的

Generic placeholder image 卡了网匿名网友 2019-04-29 10:04:55

能让我理解原理。有帮助。

Generic placeholder image 卡了网匿名网友 2019-04-29 10:04:55

程序写的不错啊