xilinxFPGA资源调用原语
利用原语可以随意调用FPGA的内部资源,设计出更加灵活的系统。适用于底层开发
文件列表
xilinxFPGA资源调用原语
(预估有个267文件)
FIFO_SYNC_MACRO.veo
2KB
ISERDESE2.veo
3KB
FIFO_DUALCLOCK_MACRO.veo
2KB
FIFO18E1.veo
2KB
PLLE2_BASE.veo
2KB
OUT_FIFO.veo
3KB
OSERDESE2.veo
2KB
PLLE2_ADV.veo
3KB
FIFO36E1.veo
3KB
MMCME2_BASE.veo
3KB
暂无评论